特黄特色三级在线观看免费,看黄色片子免费,色综合久,欧美在线视频看看,高潮胡言乱语对白刺激国产,伊人网成人,中文字幕亚洲一碰就硬老熟妇

學(xué)習(xí)啦>實(shí)用范文>心得體會(huì)>心得體會(huì)>

eda學(xué)習(xí)心得5篇總和

時(shí)間: 澤峰0 分享

eda一般指電子設(shè)計(jì)自動(dòng)化。是指利用計(jì)算機(jī)輔助設(shè)計(jì)(CAD)軟件,來(lái)完成超大規(guī)模集成電路(VLSI)芯片的功能設(shè)計(jì)、綜合、驗(yàn)證、物理設(shè)計(jì)(包括布局、布線、版圖、設(shè)計(jì)規(guī)則檢查等)等流程的設(shè)計(jì)方式。下面給大家?guī)?lái)一些關(guān)于eda學(xué)習(xí)心得,希望對(duì)大家有所幫助。

eda學(xué)習(xí)心得1

本學(xué)期末我們進(jìn)行了EDA實(shí)訓(xùn),我們組做的是四路智能搶答器,不過(guò)本次實(shí)訓(xùn)與以往最大的不同是在熟練并掌握Verilog硬件描述語(yǔ)言的基礎(chǔ)上,運(yùn)用Quartus軟件,對(duì)其進(jìn)行波形以及功能的仿真。我們組搶答器的設(shè)計(jì)要求是:可容納四組參賽者,每組設(shè)置一個(gè)搶答按鈕供搶答者使用,電路具有第一搶答信號(hào)的鑒別和鎖存功能,系統(tǒng)具有計(jì)分、倒計(jì)時(shí)和倒計(jì)時(shí)鎖存等電路,輸入信號(hào)有:各組的搶答按鈕A、B、C、D,系統(tǒng)清零信號(hào)CLR,系統(tǒng)時(shí)鐘信號(hào)CLK,計(jì)分復(fù)位端RST,加分按鈕端ADD,計(jì)時(shí)預(yù)置控制端LDN,計(jì)時(shí)使能端EN,計(jì)時(shí)預(yù)置數(shù)據(jù)調(diào)整按鈕可以用如TA、TB表示;系統(tǒng)的輸出信號(hào)有:四個(gè)組搶答成功與否的指示燈控制信號(hào)輸出口可用如LEDA、LEDB、LEDC、LEDD表示,四個(gè)組搶答時(shí)的計(jì)時(shí)數(shù)碼顯示控制信號(hào)若干,搶答成功組別顯示的控制信號(hào)若干,各組計(jì)分動(dòng)態(tài)顯示的控制信號(hào)若干。整個(gè)系統(tǒng)至少有三個(gè)主要模塊:搶答鑒別模塊、搶答計(jì)時(shí)模塊、搶答計(jì)分模塊。

實(shí)訓(xùn)的第一天我們組三個(gè)人就開(kāi)始對(duì)搶答器的各部分源程序進(jìn)行調(diào)試,由于剛開(kāi)始對(duì)于quartus2軟件用的不是很熟練,所以在第一天幾乎上沒(méi)有啥大的進(jìn)展,一直都在改程序中的錯(cuò)誤。在不停的重復(fù)的編譯、改錯(cuò)。拿著EDA修改稿、資料書(shū)檢查出錯(cuò)的地方,一邊又一遍的校對(duì)分析其中的錯(cuò)誤。

在實(shí)訓(xùn)中我們遇到了很多的問(wèn)題。為了解決這些問(wèn)題我和他們兩個(gè)都在的想辦法通過(guò)各種渠道尋找解決問(wèn)題的方法。上網(wǎng)查資料、問(wèn)同學(xué)、圖書(shū)館查資料、問(wèn)老師、自己想辦法,其實(shí)最有效的方法還是自己去想那樣學(xué)到的東西才會(huì)更加的深刻記得時(shí)間也是最長(zhǎng)的,他人的幫助當(dāng)然是很好的,但只是暫時(shí)的要想真正的學(xué)到東西還是要靠自己去想辦法。不能一有問(wèn)題就希望要他人幫忙,一定自己先好好想想實(shí)在解決不了的再去問(wèn)老師找同學(xué)。

由于在一開(kāi)始的時(shí)候?qū)uartus2軟件的不熟悉耽誤了很多的時(shí)間,在接下來(lái)的幾天里遇到了不少的問(wèn)題。剛開(kāi)始的時(shí)候是源程序中的錯(cuò)誤一直在那改,好不容易幾個(gè)模塊中的錯(cuò)誤都一個(gè)個(gè)排除了,但當(dāng)把他們放到一起時(shí)問(wèn)題就又出現(xiàn)了。于是又開(kāi)始了檢查修改,可是弄了好長(zhǎng)時(shí)間也沒(méi)有弄明白,最后找了一個(gè)在實(shí)驗(yàn)室的同學(xué)說(shuō)是頂層文件有問(wèn)題。于是晚上又找了些關(guān)于頂層文件資料還有課本上的例子。最后對(duì)步驟已經(jīng)有了很熟練的掌握,很快就完成了程序編譯、仿真、下載到最后的調(diào)試。

紙上談來(lái)終覺(jué)淺,絕知此事要躬行。在這短暫的兩周實(shí)訓(xùn)中深深的感覺(jué)到了自己要學(xué)的東西實(shí)在是太多了,自己知道的是多么的有限,由于自身專(zhuān)業(yè)知識(shí)的欠缺導(dǎo)致了這次實(shí)訓(xùn)不是進(jìn)行的很順利,通過(guò)這次實(shí)訓(xùn)暴露了我們自身的諸多的不足之處,我們會(huì)引以為鑒,在以后的生活中更應(yīng)該努力的學(xué)習(xí)。

雖然實(shí)訓(xùn)僅僅進(jìn)行了兩個(gè)星期就匆匆的結(jié)束了,但在這兩個(gè)星期中收獲還是很多的。實(shí)訓(xùn)的目的是要把學(xué)過(guò)的東西拿出來(lái)用這一個(gè)星期的實(shí)訓(xùn)中不僅用了而且對(duì)于quartus2軟件的使用也更加的得心應(yīng)手,這次實(shí)訓(xùn)提高了我們的動(dòng)手能力、理論聯(lián)系實(shí)際的能力、發(fā)現(xiàn)問(wèn)題分析問(wèn)題解決問(wèn)題的能力。實(shí)訓(xùn)只要你認(rèn)真做了都是對(duì)自己能力一次很大的提高。

本次設(shè)計(jì)過(guò)程中得到我們老師的悉心指導(dǎo)。甕老師多次詢(xún)問(wèn)設(shè)計(jì)進(jìn)程,并為我們指點(diǎn)迷津,幫助我們理順設(shè)計(jì)思路,精心點(diǎn)撥,時(shí)刻在幫助著我們?nèi)ヌ岣咦约?。甕老師一絲不茍的作風(fēng),嚴(yán)謹(jǐn)求實(shí)的態(tài)度,踏踏實(shí)實(shí)的精神,不僅是我學(xué)習(xí)的楷模,并將積極影響我今后的學(xué)習(xí)和工作。在此誠(chéng)摯地向甕老師致謝。

eda學(xué)習(xí)心得2

當(dāng)看到這門(mén)課的時(shí)候,我最初的感覺(jué)是很無(wú)語(yǔ),書(shū)本上一大堆看不懂的東西,沒(méi)有接觸過(guò)的VHDL語(yǔ)言和一些電路圖和實(shí)體,聽(tīng)起來(lái)也是一塌糊涂,對(duì)EDA技術(shù)很陌生,也感到很茫然,也沒(méi)有信心,當(dāng)接觸到可編程器件的時(shí)候,看到大家同樣感到很迷惘。

隨后在深入的學(xué)習(xí)中發(fā)現(xiàn)書(shū)本資料通過(guò)大量的圖示對(duì)PLD硬件特性與編程技術(shù)進(jìn)行了形象的講解,不僅融合了之前學(xué)習(xí)的關(guān)于電路設(shè)計(jì)的知識(shí)還將EDA的技術(shù)加入其中。對(duì)VHDL語(yǔ)言的詳盡講解更是讓我深刻理解了VHDL語(yǔ)言的編程原理。由于本門(mén)課程是一門(mén)硬件學(xué)習(xí)課程,所以實(shí)驗(yàn)必不可少。通過(guò)課程最后實(shí)驗(yàn),我體會(huì)一些VHDL語(yǔ)言相對(duì)于其他編程語(yǔ)言的特點(diǎn)。在接觸VHDL語(yǔ)言之前,我已經(jīng)學(xué)習(xí)了C語(yǔ)言,匯編語(yǔ)言,而相對(duì)于這些語(yǔ)言的學(xué)習(xí),,VHDL 具有明顯的特點(diǎn)。這不僅僅是由于VHDL 作為一種硬件描述語(yǔ)言的學(xué)習(xí)需要了解較多的數(shù)字邏輯方面的硬件電路知識(shí),括目標(biāo)芯片基本結(jié)構(gòu)方面的知識(shí)更重要的是由于VHDL 描述的對(duì)象始終是客觀的電路系統(tǒng)。由于電路系統(tǒng)內(nèi)部的子系統(tǒng)乃至部分元器件的工作狀態(tài)和工作方式可以是相互獨(dú)立、互不相關(guān)的,也可以是互為因果的。這表明,在任一時(shí)刻,電 路 系 統(tǒng) 可 以 有 許 多 相關(guān) 和不相關(guān)的事件同時(shí)并行發(fā)生。例如可以在多個(gè)獨(dú)立的模塊中同時(shí)入行不同方式的數(shù)據(jù)交換和控制信號(hào)傳輸,這種并行工作方式是任何一種基于CPU 的軟件程序語(yǔ)言所無(wú)法描繪和實(shí)現(xiàn)的。傳統(tǒng)的軟件編程語(yǔ)言只能根據(jù)CPU 的工作方式,以排隊(duì)式指令的形式來(lái)對(duì)特定的事件和信息控制或接收。在CPU 工作的任一時(shí)間段內(nèi)只能完成一種操作。因此,任何復(fù)雜的程序在一個(gè)單CPU 的計(jì)算機(jī)中的運(yùn)行,永遠(yuǎn)是單向和一維的。因而程序設(shè)計(jì)者也幾乎只以一維的思維模式就可以編程和工作了。在試驗(yàn)箱上,編寫(xiě)相應(yīng)的軟件即可,否則,只在計(jì)算機(jī)上模擬調(diào)試軟件,則無(wú)法了解單片機(jī)接口中各種控制信號(hào)的使用。還可幫助學(xué)會(huì)分析問(wèn)題和解決問(wèn)題的能力。這在單片機(jī)實(shí)驗(yàn)報(bào)告中都要體現(xiàn)出來(lái)。

這門(mén)課是一門(mén)理論性和實(shí)踐性都很強(qiáng)的專(zhuān)業(yè)基礎(chǔ)課,也是一門(mén)綜合性的技術(shù)基礎(chǔ)學(xué)科,它需要程序語(yǔ)言、數(shù)學(xué)、物理學(xué)、電子學(xué)、力學(xué)、機(jī)械等知識(shí),同時(shí)還要掌握各種物理量的變換測(cè)定,以及實(shí)驗(yàn)裝置的設(shè)計(jì)和數(shù)據(jù)分析等方面所涉及的基礎(chǔ)理論。許多測(cè)試?yán)碚摵头椒ㄖ挥型ㄟ^(guò)實(shí)際驗(yàn)證才能加深理解并真正掌握。實(shí)驗(yàn)就是使學(xué)生加深理解所學(xué)基礎(chǔ)知識(shí),掌握各類(lèi)典型傳感、記錄儀器的基本原理和適用范圍;具有測(cè)試系統(tǒng)的選擇及應(yīng)用能力;具有實(shí)驗(yàn)數(shù)據(jù)處理和誤差分析能力;得到基本實(shí)驗(yàn)技能的訓(xùn)練與分析能力的訓(xùn)練,使學(xué)生初步掌握

測(cè)試技術(shù)的基本方法,具有初步獨(dú)立進(jìn)行電子信息工程測(cè)試的能力,對(duì)各門(mén)知識(shí)得到融會(huì)貫通的認(rèn)識(shí)和掌握,加深對(duì)理論知識(shí)的理解。實(shí)驗(yàn)課是本門(mén)課程的重要環(huán)節(jié),其目的是培養(yǎng)學(xué)生的分析和解決實(shí)際問(wèn)題的能力,從而掌握機(jī)械工程測(cè)試技術(shù)手段,為將來(lái)從事技術(shù)工作和科學(xué)研究奠定扎實(shí)的基礎(chǔ)。

通過(guò)本門(mén)課程實(shí)驗(yàn),以下能力得到了較大的提高:

了解了可編程邏輯器件操作的原理和應(yīng)用,以及試驗(yàn)箱及軟件編譯環(huán)境的使用的注意事項(xiàng)及各種測(cè)試中不同問(wèn)題的處理方法。

在學(xué)習(xí)的過(guò)程中,我深深體會(huì)到,學(xué)習(xí)不單單要將理論知識(shí)學(xué)扎實(shí)了,更重要的是實(shí)際動(dòng)手操作能力,學(xué)完了課本知識(shí),我并沒(méi)有覺(jué)得自己有多大的提高,但是在隨后的實(shí)驗(yàn)過(guò)程中我真的感覺(jué)學(xué)會(huì)了很多,學(xué)到了很多知識(shí),在實(shí)踐中更加理解了書(shū)本上的理論知識(shí)的經(jīng)典所在以及這門(mén)學(xué)科的意義和用處!真心希望以后的課程都能將理論與實(shí)踐充分的結(jié)合起來(lái),在實(shí)踐的過(guò)程中串聯(lián)書(shū)本的知識(shí),讓理論化為實(shí)踐的力量!

eda學(xué)習(xí)心得3

摘要:本學(xué)期我對(duì)EDA技術(shù)進(jìn)行了學(xué)習(xí),通過(guò)學(xué)習(xí),我掌握了部分EDA技術(shù)的知識(shí)。 本學(xué)期對(duì) EDA 技術(shù)的學(xué)習(xí)為我的專(zhuān)業(yè)知識(shí)學(xué)習(xí)打開(kāi)了一個(gè)全新的窗口—— 微電子技術(shù)領(lǐng)域。對(duì)EDA 技術(shù),我更是有了全新的認(rèn)識(shí)。 微電子技術(shù)的進(jìn)步主要表現(xiàn)在大規(guī)模集成電路加工技術(shù)即半導(dǎo)體工藝技術(shù) 的發(fā)展上,使得表征半導(dǎo)體工藝水平的線寬已經(jīng)達(dá)到了納米級(jí)。所以,集成電路 設(shè)計(jì)正在不斷地向超大規(guī)模、極低功耗和超高速的方向發(fā)展。 而現(xiàn)代電子設(shè)計(jì)技術(shù)的核心已日趨轉(zhuǎn)向基于計(jì)算機(jī)的電子設(shè)計(jì)自動(dòng)化技術(shù), 即EDA 技術(shù)。

EDA技術(shù)的特點(diǎn)和優(yōu)勢(shì)

技術(shù)就是依賴(lài)功能強(qiáng)大的計(jì)算機(jī),在EDA 工具軟件平臺(tái)上,對(duì) 以硬件描述語(yǔ)言 HDL 為系統(tǒng)邏輯描述手段完成的設(shè)計(jì)文件,自動(dòng)地完成邏輯編 譯、化簡(jiǎn)、分割、綜合、布局布線以及邏輯優(yōu)化和仿真測(cè)試,直至實(shí)現(xiàn)既定的電 子線路系統(tǒng)功能。EDA 技術(shù)使得設(shè)計(jì)者的工作僅限于利用軟件的方式,即利用硬 件描述語(yǔ)言和EDA 軟件來(lái)完成對(duì)系統(tǒng)硬件功能的實(shí)現(xiàn),這是電子設(shè)計(jì)技術(shù)的一個(gè) 巨大進(jìn)步。 EDA 技術(shù)在進(jìn)入21 世紀(jì)后,得到了更大的發(fā)展。嵌入式處理器軟核的成熟, 使得SOPC 步入大規(guī)模應(yīng)用階段。電子技術(shù)領(lǐng)域全方位融入EDA 技術(shù),除了日益 成熟的數(shù)字技術(shù)外,傳統(tǒng)的電路系統(tǒng)設(shè)計(jì)建模理念發(fā)生了重大的變化。同時(shí),EDA 使得電子領(lǐng)域各學(xué)科的界限更加模糊,更加互為包容。這些都利于設(shè)計(jì)人員利用 EDA 技術(shù)進(jìn)行電子系統(tǒng)設(shè)計(jì),如全定制或半定制ASIC 設(shè)計(jì),F(xiàn)PGA/CPLD 開(kāi)發(fā)應(yīng)用 和印制電路板。 從 EDA 技術(shù)的特點(diǎn)不難看出,相比于傳統(tǒng)的數(shù)字電子系統(tǒng)或 IC 設(shè)計(jì),EDA 技術(shù)擁有獨(dú)特的優(yōu)勢(shì)。在傳統(tǒng)的數(shù)字電子系統(tǒng)或 IC 設(shè)計(jì)中,手工設(shè)計(jì)占了較大 的比例。因此,也存在很多缺點(diǎn)。例如:復(fù)雜電路的設(shè)計(jì)、調(diào)試十分困難;由于 無(wú)法進(jìn)行硬件系統(tǒng)仿真,如果某一過(guò)程存在錯(cuò)誤,查找和修改十分不便;設(shè)計(jì)過(guò) 程中產(chǎn)生大量文檔,不易管理;可移植性差等。相比之下,EDA 技術(shù)有很大不同。 它運(yùn)用HDL 對(duì)數(shù)字系統(tǒng)進(jìn)行抽象的行為與功能描述到具體的內(nèi)部線路結(jié)構(gòu)描述, 從而可以在電子設(shè)計(jì)的各個(gè)階段、各個(gè)層次進(jìn)行計(jì)算機(jī)模擬驗(yàn)證,保證設(shè)計(jì)過(guò)程 的正確性,可以大大降低設(shè)計(jì)成本,縮短設(shè)計(jì)周期。由于有各類(lèi)庫(kù)的支持,能夠 完成各種自動(dòng)設(shè)計(jì)過(guò)程。它極大地簡(jiǎn)化了設(shè)計(jì)文檔的管理,邏輯設(shè)計(jì)仿真測(cè)試技 術(shù)也日益強(qiáng)大。 VHDL 在現(xiàn)在的EDA 設(shè)計(jì)中使用最多,也擁有幾乎所有主流EDA 工具的支持。

EDA工具

EDA工具在EDA技術(shù)應(yīng)用中占據(jù)極其重要的位置,EDA的核心是利用計(jì)算機(jī)完成電子設(shè)計(jì)全過(guò)程自動(dòng)化,因此,基于計(jì)算機(jī)環(huán)境的EDA軟件的支持是必不可少的。EDA工具大致可以分為如下5個(gè)模塊:設(shè)計(jì)輸入編輯器;仿真器;HDL綜合器;適配器(或布局布線器);下載器。 VHDL語(yǔ)言基礎(chǔ)

VHDL 作為一個(gè)規(guī)范語(yǔ)言和建模語(yǔ)言,不僅可以作為系統(tǒng)模擬的建模工具,而且 可以作為電路系統(tǒng)的設(shè)計(jì)工具,可以利用軟件工具將 VHDL 源碼自動(dòng)地轉(zhuǎn)化為文 本方式表達(dá)的基本邏輯元件連接圖,即網(wǎng)表文件。這種方法顯然對(duì)于電路自動(dòng)設(shè) 計(jì)是一個(gè)極大的推進(jìn)。它具有很強(qiáng)的電路描述和建模能力,能從多個(gè)層次對(duì)數(shù)字 系統(tǒng)進(jìn)行建模和描述,從而大大簡(jiǎn)化了硬件設(shè)計(jì)任務(wù),提高了設(shè)計(jì)效率和可靠性。 EDA 技術(shù)良好的可移植性與可測(cè)試性,將所有設(shè)計(jì)環(huán)節(jié)納入統(tǒng)一的自頂向下的設(shè) 計(jì)方案中。它不但在整個(gè)設(shè)計(jì)流程上充分利用計(jì)算機(jī)的自動(dòng)設(shè)計(jì)能力、在各個(gè)設(shè) 計(jì)層次上利用計(jì)算機(jī)完成不同內(nèi)容的仿真模擬,而且在系統(tǒng)板設(shè)計(jì)結(jié)束后仍可利 用計(jì)算機(jī)對(duì)硬件系統(tǒng)進(jìn)行完整的測(cè)試。 書(shū)中通過(guò)大量的圖示對(duì)PLD 硬件特性與編程技術(shù)進(jìn)行了形象的講解,不僅融 合了之前學(xué)習(xí)的關(guān)于電路設(shè)計(jì)的知識(shí)還將EDA 的技術(shù)加入其中。對(duì)VHDL 語(yǔ)言的 詳盡講解更是讓我深刻理解了 VHDL 語(yǔ)言的編程原理。

VHDL可以把任意復(fù)雜的電路系統(tǒng)作為一個(gè)模塊。一個(gè)模塊可以分為3個(gè)主要的組成部分:參數(shù)部分,接口部分,描述部分。參數(shù)部分主要由庫(kù)和程序包說(shuō)明組成。每個(gè)VHDL模塊中僅有一個(gè)設(shè)計(jì)實(shí)體它類(lèi)似于原理圖中一個(gè)不見(jiàn)符號(hào)。實(shí)體并不描述設(shè)計(jì)的具體功能,只用于定義該設(shè)計(jì)所需的全部輸入信號(hào)。結(jié)構(gòu)體主要描述實(shí)體的內(nèi)部結(jié)構(gòu),即描述一個(gè)實(shí)體的功能。VHDL結(jié)構(gòu)體有多種描述方式:行為描述方式,數(shù)據(jù)流描述方式,結(jié)構(gòu)描述方式,混合描述方式等。

EDA技術(shù)的綜合體會(huì)

由于本門(mén)課程是一門(mén)硬件 學(xué)習(xí)課程,所以實(shí)驗(yàn)必不可少。通過(guò)課程最后實(shí)驗(yàn),我體會(huì)一些 VHDL 語(yǔ)言相對(duì) 于其他編程語(yǔ)音的特點(diǎn)。 相對(duì)于其它計(jì)算機(jī)語(yǔ)言的學(xué)習(xí),如C 或匯編語(yǔ)言,VHDL 具有明顯的特點(diǎn)。 這不僅僅是由于 VHDL 作為一種硬件描述語(yǔ)言的學(xué)習(xí)需要了解較多的數(shù)字邏輯 方面的硬件電路知識(shí),包括目標(biāo)芯片基本結(jié)構(gòu)方面的知識(shí)更重要的是由于 VHDL 描述的對(duì)象始終是客觀的電路系統(tǒng)。由于電路系統(tǒng)內(nèi)部的子系統(tǒng)乃至部分元器件 的工作狀態(tài)和工作方式可以是相互獨(dú)立、互不相關(guān)的,也可以是互為因果的。這 表明,在任一時(shí)刻,電路系統(tǒng)可以有許多相關(guān)和不相關(guān)的事件同時(shí)并行發(fā)生。例 如可以在多個(gè)獨(dú)立的模塊中同時(shí)入行不同方式的數(shù)據(jù)交換和控制信號(hào)傳輸,這種 并行工作方式是任何一種基于 CPU 的軟件程序語(yǔ)言所無(wú)法描繪和實(shí)現(xiàn)的。傳統(tǒng) 的軟件編程語(yǔ)言只能根據(jù) CPU 的工作方式,以排隊(duì)式指令的形式來(lái)對(duì)特定的事 件和信息進(jìn)行控制或接收。在 CPU 工作的任一時(shí)間段內(nèi)只能完成一種操作。 VHDL 雖然也含有類(lèi)似于軟件編程語(yǔ)言的順序描述語(yǔ)句結(jié)構(gòu),但其工作方 式是完全不同的。軟件語(yǔ)言的語(yǔ)句是根據(jù) CPU 的順序控制信號(hào),按時(shí)鐘節(jié)拍對(duì) 應(yīng)的指令周期節(jié)拍逐條運(yùn)行的,每運(yùn)行一條指令都有確定的執(zhí)行周期。但 VHDL 則不同,從表面上觀,VHDL 的順序語(yǔ)句與軟件語(yǔ)句有相同的行為描述方式,但 在標(biāo)準(zhǔn)的仿真執(zhí)行中有很大的區(qū)別。VHDL 的語(yǔ)言描述只是綜合器賴(lài)以構(gòu)成硬件 結(jié)構(gòu)的一種依據(jù),但進(jìn)程語(yǔ)句結(jié)構(gòu)中的順序語(yǔ)句的執(zhí)行方式?jīng)Q非是按時(shí)鐘節(jié)拍運(yùn) 行的。實(shí)際情況是其中的每一條語(yǔ)句的執(zhí)行時(shí)間幾乎是 0 (但該語(yǔ)句的運(yùn)行時(shí) 間卻不一定為0),即1000 條順序語(yǔ)

句與10 條順序語(yǔ)句的執(zhí)行時(shí)間是相同的。 在此,語(yǔ)句的運(yùn)行和執(zhí)行具有不同的概念(在軟件語(yǔ)言中,它們的概念是相同), 的執(zhí)行是指啟動(dòng)一條語(yǔ)句,允許它運(yùn)行一次,而運(yùn)行就是指該語(yǔ)句完成其設(shè)定的 功能。

通過(guò)學(xué)習(xí),我認(rèn)識(shí)到理論要與實(shí)際結(jié)合,培養(yǎng)動(dòng)手動(dòng)腦能力的重要性,做事 情要抱著一絲不茍的態(tài)度,這樣才能做好事情。同時(shí)也入一步了解到EDA 的強(qiáng)大 之處,硬件電路的優(yōu)秀的地方,對(duì)硬件方面更感興趣了。這門(mén)課程的學(xué)習(xí),為我以后的專(zhuān)業(yè)知識(shí)的學(xué)習(xí)打下了良好的基礎(chǔ)。

eda學(xué)習(xí)心得4

在本次一周的實(shí)訓(xùn)中我完全投入到了Protel99SE軟件之中,我感覺(jué)學(xué)到了很多收獲也很多對(duì)次軟件的應(yīng)用更加熟練也更加的喜歡。之前上課時(shí)都不是很重視心想就是個(gè)軟件很好用的,但經(jīng)過(guò)這次實(shí)訓(xùn)所有的東西并不是想的那么簡(jiǎn)單。Protel99se這套軟件是我們的支柱,是我門(mén)專(zhuān)業(yè)的重點(diǎn),它對(duì)我們以后更好的學(xué)習(xí)有很大的意義。在這次實(shí)訓(xùn)中我看到了自己許多的不足,在繪制pcb的工程中出現(xiàn)了許多的錯(cuò),ERC錯(cuò)誤較多

1、管腳沒(méi)有接入信號(hào)。原因:創(chuàng)建元件時(shí)pin方向反向,必須非pinname端連線.。

2、在繪制原理圖得時(shí)候元器件調(diào)用出現(xiàn)錯(cuò)誤。

3、網(wǎng)絡(luò)載入時(shí)報(bào)告NODE沒(méi)有找到。

原因:

1、PCB原理圖中的元件使用了pcb庫(kù)中沒(méi)有的封裝。

3、原理圖中的元件使用了pcb庫(kù)中名稱(chēng)不一致的封裝

4、原理圖中的元件使用了pcb庫(kù)中pinnumber不一致的封裝.如二極管:sch中pinnumber為1、2,而pcb中為A、K。

那都是我盲目的話不了解原理圖中一些元器件的功能而導(dǎo)致的,因此在以后的學(xué)習(xí)中我不要只局限在會(huì)用而要知道問(wèn)什么是這樣,要更深更充分的學(xué)習(xí)。我在Protel99se軟件的學(xué)習(xí)中,感覺(jué)學(xué)到了很多收獲也很多特別是在實(shí)際操作方面有了很大進(jìn)步。即使如此,依然存在很多問(wèn)題,我也上網(wǎng)查閱了很多資料,結(jié)合自己的疑問(wèn)和老師的指導(dǎo)建議。自己在實(shí)訓(xùn)過(guò)程中有時(shí)做做作業(yè)心就煩了不想畫(huà)了,不能踏踏實(shí)實(shí)的做題,在自己的堅(jiān)持下還是把題做完。我在實(shí)訓(xùn)中深刻的體會(huì)到做任何一件事都需要認(rèn)真,有耐心,有毅力,要努力地克制自己。實(shí)訓(xùn)雖然結(jié)束了,但我們的學(xué)習(xí)并未結(jié)束,我們應(yīng)該好好珍惜在學(xué)校的每一天,多學(xué)習(xí),把自身的弱勢(shì)和不足變成優(yōu)勢(shì)和特長(zhǎng),為自己擁有一個(gè)美好的未來(lái)而加倍努力。

eda學(xué)習(xí)心得5

EDA課程設(shè)計(jì)心得體會(huì),這次EDA課程設(shè)計(jì)歷時(shí)兩個(gè)星期,通過(guò)這次設(shè)計(jì),通過(guò)這次課程設(shè)計(jì)使我懂得了理論與實(shí)際相結(jié)合是很重要的,在設(shè)計(jì)的過(guò)程中遇到問(wèn)題,同時(shí)在設(shè)計(jì)的過(guò)程中發(fā)現(xiàn)了自己的不足之處,這次設(shè)計(jì)的數(shù)字秒表還是比較成功的,在設(shè)計(jì)中遇到了很多問(wèn)題,PLC實(shí)訓(xùn)心得,在學(xué)完P(guān)LC理論課程后我們做了課程設(shè)計(jì),此次設(shè)計(jì)以分組的方式進(jìn)行,沒(méi)有過(guò)實(shí)際開(kāi)發(fā)設(shè)計(jì)的經(jīng)驗(yàn),我們基本學(xué)會(huì)了PLC設(shè)計(jì)的步聚和基本方法。

這次EDA課程設(shè)計(jì)歷時(shí)兩個(gè)星期,在整整兩個(gè)星期的日子里,可以說(shuō)是苦多于甜,但是可以學(xué)的到很多很多的東西,同時(shí)不僅可以鞏固以前所學(xué)過(guò)的知識(shí),而且學(xué)到了很多在書(shū)本上所沒(méi)有學(xué)到過(guò)的知識(shí)。通過(guò)這次設(shè)計(jì),進(jìn)一步加深了對(duì)EDA的了解,讓我對(duì)它有了更加濃厚的興趣。特別是當(dāng)每一個(gè)子模塊編寫(xiě)調(diào)試成功時(shí),心里特別的開(kāi)心。但是在編寫(xiě)頂層文件的程序時(shí),遇到了不少問(wèn)題,特別是各元件之間的連接,以及信號(hào)的定義,總是有錯(cuò)誤,在細(xì)心的檢查下,終于找出了錯(cuò)誤和警告,排除困難后,程序編譯就通過(guò)了,心里終于舒了一口氣。在波形仿真時(shí),也遇到了一點(diǎn)困難,想要的結(jié)果不能在波形上得到正確的顯示:在設(shè)定輸入的時(shí)鐘信號(hào)后,數(shù)字秒表開(kāi)始計(jì)數(shù),但是始終看不到秒和小時(shí)的循環(huán)計(jì)數(shù)。后來(lái),在數(shù)十次的調(diào)試之后,才發(fā)現(xiàn)是因?yàn)檩斎氲臅r(shí)鐘信號(hào)對(duì)于器件的延遲時(shí)間來(lái)說(shuō)太短了。經(jīng)過(guò)屢次調(diào)試,終于找到了比較合適的輸入數(shù)值:時(shí)鐘周期設(shè)置在15秒左右比較合適。另外,Endtime的值需要設(shè)置的長(zhǎng)一點(diǎn):500us左右,這樣就可以觀察到完整的仿真結(jié)果。

其次,在連接各個(gè)模塊的時(shí)候一定要注意各個(gè)輸入、輸出引腳的線寬,因?yàn)槊總€(gè)線寬是不一樣的,只要讓各個(gè)線寬互相匹配,才能得出正確的結(jié)果,否則,出現(xiàn)任何一點(diǎn)小的誤差就會(huì)導(dǎo)致整個(gè)文件系統(tǒng)的編譯出現(xiàn)錯(cuò)誤提示,在器件的選擇上也有一定的技巧,只有選擇了合適當(dāng)前電路所適合的器件,編譯才能得到完滿成功。

通過(guò)這次課程設(shè)計(jì)使我懂得了理論與實(shí)際相結(jié)合是很重要的,只有理論知識(shí)是遠(yuǎn)遠(yuǎn)不夠的,只有把所學(xué)的理論知識(shí)與實(shí)踐相結(jié)合起來(lái),從理論中得出結(jié)論,才能真正為社會(huì)服務(wù),從而提高自己的實(shí)際動(dòng)手能力和獨(dú)立思考的能力。在設(shè)計(jì)的過(guò)程中遇到問(wèn)題,可以說(shuō)得是困難重重,這畢竟第一次做的,難免會(huì)遇到過(guò)各種各樣的問(wèn)題,同時(shí)在設(shè)計(jì)的過(guò)程中發(fā)現(xiàn)了自己的不足之處,對(duì)以前所學(xué)過(guò)的知識(shí)理解得不夠深刻,掌握得不夠牢固。

在設(shè)計(jì)過(guò)程中,總是遇到這樣或那樣的問(wèn)題。有時(shí)發(fā)現(xiàn)一個(gè)問(wèn)題的時(shí)候,需要做大量的工作,花大量的時(shí)間才能解決。自然而然,我的耐心便在其中建立起來(lái)了。為以后的工作積累了經(jīng)驗(yàn),增強(qiáng)了信心。

eda學(xué)習(xí)心得5篇總和

eda一般指電子設(shè)計(jì)自動(dòng)化。是指利用計(jì)算機(jī)輔助設(shè)計(jì)(CAD)軟件,來(lái)完成超大規(guī)模集成電路(VLSI)芯片的功能設(shè)計(jì)、綜合、驗(yàn)證、物理設(shè)計(jì)(包括布局、布線、版圖、設(shè)計(jì)規(guī)則檢查等)等流程的設(shè)計(jì)方式。下面給大家?guī)?lái)一些關(guān)于eda學(xué)習(xí)心得,希望對(duì)大家有所幫助。eda學(xué)習(xí)心得1本學(xué)期末我們進(jìn)行了EDA實(shí)訓(xùn),我們組做的是四路智能搶答器,不過(guò)本次實(shí)訓(xùn)與以往最大的不同是在熟練并掌握Verilog硬件描述語(yǔ)言的基礎(chǔ)上,運(yùn)用Quartus軟件,對(duì)其進(jìn)行波形以及功能的仿真。我們組搶答器的設(shè)計(jì)要求是:可容納四組參賽者,
推薦度:
點(diǎn)擊下載文檔文檔為doc格式

精選文章

  • 建國(guó)73周年心得體會(huì)五篇2022
    建國(guó)73周年心得體會(huì)五篇2022

    建國(guó)73周年心得體會(huì)有哪些?星星之火可以燎原,習(xí)慣自然。讓從現(xiàn)在做起,從小事做起。將愛(ài)國(guó)到底!一起來(lái)看看建國(guó)73周年心得體會(huì)五篇2022,歡迎查閱!

  • 2021國(guó)慶節(jié)72周年心得體會(huì)5篇
    2021國(guó)慶節(jié)72周年心得體會(huì)5篇

    一年一度的國(guó)慶節(jié)到來(lái)了,這是祖國(guó)媽媽的生日,關(guān)于國(guó)慶節(jié)你有什么心得體會(huì)呢?下面是小編整理的2021國(guó)慶節(jié)72周年心得體會(huì)5篇,歡迎大家閱讀分享借鑒,

  • 向人民英雄敬獻(xiàn)花籃儀式心得體會(huì)
    向人民英雄敬獻(xiàn)花籃儀式心得體會(huì)

    向人民英雄敬獻(xiàn)花籃儀式心得體會(huì)有哪些?正所謂“誠(chéng)既勇兮又以武,終剛強(qiáng)兮不可凌。身既死兮神以靈,魂魄毅兮為鬼雄?!币黄饋?lái)看看向人民英雄敬獻(xiàn)

  • 愛(ài)疆心得體會(huì)5篇精選范文
    愛(ài)疆心得體會(huì)5篇精選范文

    祖國(guó),這片生我、養(yǎng)我的土地,無(wú)時(shí)無(wú)刻不在我的心中。偉大祖國(guó),美麗新疆 祖國(guó), 這片寬闊、富饒的土地, 祖國(guó),這片生機(jī)勃勃、春意盎然的土地。

627339